`timescale 1ns/10ps module adder16(in_A, in_B, sum); //input clk; input [15:0] in_A, in_B; output [15:0] sum; reg [15:0] sum; always @(in_A or in_B) begin sum = in_A + in_B; end endmodule